• 當前位置:全部  > 通信/電子  > 電子設計

    數字鐘設計集錦

    • 創建者:夢中尋
    • 創建時間:2011-08-12 14:32
    • 修改時間:2012-05-25 15:41
    • 介紹:本豆單收藏所有個人認為較好的數字鐘設計。
    • 關鍵詞: 優秀,經典,集錦,收藏,推薦,電子,電路,數字鐘,電子設計
    瀏覽量:30253 收藏量:12 分享量:2
    • 2
    • 分享到
    • 共28個文檔
    電子時鐘的設計38 p
    doc 電子時鐘的設計
    暫無描述
    • 上傳人: leespf
    • 2011-06-11 19:27
    • 2
    • 評論0
    • 收藏3
    多功能數字鐘設計21 p
    pdf 多功能數字鐘設計
    多功能數字鐘設計
    • 上傳人: 銀文rcn
    • 2011-06-30 11:10
    • 0
    • 評論0
    • 收藏1
    數字電路課程設計:數字鐘20 p
    doc 數字電路課程設計:數字鐘
    本文為XX大學計算機科學與技術專業的數字電路課程設計
    題目為數字鐘課程設計
    • 上傳人: hehaiping1206
    • 2009-12-23 15:54
    • 655
    • 評論1
    • 收藏67
    EDA實習數字鐘12 p
    doc EDA實習數字鐘
    本文為EDA實習數字鐘
    用VHDL語言做的數字鐘程序-數字鐘控制器的設計原理說明
    • 上傳人: w552496722
    • 2010-01-17 14:35
    • 183
    • 評論1
    • 收藏15
    多功能數字鐘設計10 p
    doc 多功能數字鐘設計
    設計一個具有校時、校分、清零、保持和整點報時功能的數字鐘。數字鐘的具體設計要求具體如下功能:(1)數字鐘最大計時顯示44小時79分35秒;(2)在數字鐘正常工作使可以..
    • 上傳人: szzowen
    • 2009-05-09 20:26
    • 4
    • 評論0
    • 收藏23
    多功能數字鐘設計報告10 p
    doc 多功能數字鐘設計報告
    這是我課程設計的內容,采用的是Multisim軟件設計的。。。要原圖的發郵件yinhaijun0537127@sohu.com
    • 上傳人: e71ad8b6
    • 2009-03-30 19:28
    • 65
    • 評論6
    • 收藏143
    自動報時數字鐘19 p
    doc 自動報時數字鐘
    課程設計--自動報時數字鐘
    • 上傳人: migm35959949
    • 2010-03-28 13:06
    • 14
    • 評論0
    • 收藏25
    多功能數字鐘電路設計4 p
    doc 多功能數字鐘電路設計
    數電課程設計
    • 上傳人: hljo7
    • 2010-04-06 11:56
    • 73
    • 評論0
    • 收藏4
    數字鐘的設計與制作6 p
    doc 數字鐘的設計與制作
    本文為數字鐘的設計與制作方案書
    數字鐘的指標要求是能顯示時,分,秒,且具有校時功能.
    提供了總體方案設計與單元電路設計
    • 上傳人: qq260139621
    • 2009-06-15 15:01
    • 643
    • 評論3
    • 收藏75
    多功能數字鐘47 p
    doc 多功能數字鐘
    本設計是一種多功能數字鐘,該數字鐘具有基本功能和擴展功能兩部分。其中,基本功能部分的有準確計時,以數字形式顯示時、分、秒的時間和校時功能。擴展功能部分則具有:..
    數字鐘設計19 p
    ppt 數字鐘設計
    本文為數字鐘設計說明,
    內容包括有設計目的,設計任務,設計原理,程序設計流程
    介紹了AT89C51單片機控制的數字鐘,外接4個按鍵,分別設定秒、分、小時。
    • 上傳人: magicoctoier
    • 2008-07-30 19:27
    • 9
    • 評論3
    • 收藏62
    多功能數字鐘設計10 p
    doc 多功能數字鐘設計
    本方案設計的多功能電子鐘除了傳統的顯示時間功能之外還可以測試溫度、電網頻率、電壓、并提供了過壓報警、非接觸止鬧等功能。其中溫度采用AD590溫度傳感器電路測得,非..
    • 上傳人: wanshaobin1
    • 2008-12-24 15:53
    • 7
    • 評論0
    • 收藏16
    EDA數字鐘設計實驗報告9 p
    doc EDA數字鐘設計實驗報告
    數字鐘設計完整實驗報告
    • 上傳人: beijixingo
    • 2009-06-23 09:55
    • 505
    • 評論1
    • 收藏11
    多功能數字鐘的VHDL設計17 p
    doc 多功能數字鐘的VHDL設計
    多功能數字鐘的VHDL設計
    • 上傳人: 10086
    • 2010-01-16 17:45
    • 85
    • 評論0
    • 收藏43
    基于單片機的多功能數字鐘設計10 p
    doc 基于單片機的多功能數字鐘設計
    本文是《2004年山東省電子設計大賽》中紀宗江、劉風磊、仇偉設計的基于單片機的多功能數字鐘設計。時鐘,?自從它發明的那天起,就成為人類的朋友,但隨著時間的推移,人..
    • 上傳人: 夢中尋
    • 2009-06-24 01:05
    • 12
    • 評論1
    • 收藏19

    相關豆單推薦

    更多>>
    決戰全國電子設計大賽
    創建者: 收藏量:30
    交通燈控制電路設計集錦
    創建者: 收藏量:21
    電子器件手冊
    創建者: 收藏量:16
    013-電子產品生產工藝全集
    創建者: 收藏量:15
    鎖相環精典資料
    創建者: 收藏量:13
    數字鐘設計集錦
    創建者: 收藏量:12

    請驗證你的郵箱,以便接收更新提醒

    驗證郵件已發送到你的郵箱null,前往郵箱,立即驗證。

    郵箱地址 null

    如果找不到郵件,看看是否在垃圾郵箱或訂閱郵箱里,若10分鐘內沒收到確認信,請[重新發送]

    感謝你訂閱了null

    你會收到更新提醒郵件,同時訂閱信息會在首頁興趣標簽“null”中顯示

    郵箱地址 null

    国产日韩一区在线精品欧美玲-日本一级特黄大片本道一-欧美在线一区视频网站-欧美极品免费一区二区